Fabricação
de dispositivos
semicondutores

O processo de 350 nm refere-se ao nível de tecnologia do processo de fabricação de semicondutores MOSFET que foi comercializado por volta do período de 1993 a 1996,[1][2] por empresas líderes de semicondutores como Sony, IBM, e Intel.[3][4]

Um MOSFET com comprimento de canal de 300 nm foi fabricado por uma equipe da Nippon Telegraph and Telephone (NTT) em 1985.[5]

Referências

  1. Maricau, Elie; Gielen, Georges (11 de janeiro de 2013). Analog IC Reliability in Nanometer CMOS (em inglês). [S.l.]: Springer Science & Business Media 
  2. «350_nanometer». www.chemeurope.com. Consultado em 15 de dezembro de 2021 
  3. IBM Journal of Research and Development (em inglês). [S.l.]: International Business Machines Corporation. 2006 
  4. Burghartz, Joachim (18 de novembro de 2010). Ultra-thin Chip Technology and Applications (em inglês). [S.l.]: Springer Science & Business Media 
  5. Deguchi, K.; Komatsu, K.; Namatsu, H.; Sekimoto, M.; Miyake, M.; Hirata, K. (maio de 1985). «Step-and-Repeat X-ray/Photo Hybrid Lithography for 0.3 μm Mos Devices»: 74–75. Consultado em 15 de dezembro de 2021 


Precedido por:
600 nm
processos de fabricação CMOS Sucedido por:
350 nm