A porta lógica XNOR, também conhecida pelo termo função coincidência, é a operação inversa da porta XOR (ou - exclusivo). Como o próprio nome expressa, essa função retornará valores iguais a um (retornará sinais elétricos) quando os valores de entrada forem iguais, ou seja, quando coincidirem. A partir dessa afirmação, e se colocássemos A e B como entrada, teríamos apenas duas ocorrências de coincidência, tendo assim duas saídas com valores iguais a um. Em um circuito elétrico, quando as entradas A e B estão recebendo o mesmo valor , mesmo estando negadas ou não, circulará corrente elétrico pelo circuito (A = 0 e B = 0 ou A = 1 e B = 1). Agora, quando as entradas possuem valores diferentes, não circulará corrente pelo circuito (A = 0 e B = 1 ou A = 1 e B = 0). A notação algébrica que representa a operação XNOR é .

(a) circuito para porta XNOR (b) e (c) Diferentes representações simbólicas para a porta XNOR.

Tabela verdade editar

Com as informações acima, a tabela verdade da função fica dessa forma :

A B  A XNOR B 
0 0 1
0 1 0
1 0 0
1 1 1

Circuito editar

Depois de entender como é formada a tabela verdade da função coincidência, pode-se definir o circuito que realizará a mesma: Para conseguirmos a saída, precisaremos de dois inversores, duas funções AND (chamada de Conjunção Lógica), e uma função OR (chamada de Disjunção Lógica), além de duas entradas (usaremos A e B).

Além da implementação mostrada acima, é possível obter a porta XNOR através da utilização de apenas portas NOR ou de apenas portas NAND, como podemos ver no exemplo:

  • XNOR usando NOR

 

  • XNOR usando NAND

 

Podemos ainda representar uma porta XNOR de várias outras formas, tais como representadas na figura abaixo:

Circuito com mais entradas editar

Caso queira representar uma porta XNOR com duas ou mais entradas, terá que adicionar uma porta AND no circuito (a) ou se preferir, usar o circuito da porta XOR colocando um inversor na sua saída (b). Dessa forma temos que  

Implementação em VHDL editar

A porta XNOR pode ser utilizada como um operador na linguagem VHDL que pode ser implementada da seguinte forma:

Exemplificando utilizando-se de um XNOR de duas entradas:

entity xnor2 is
       port(x, y: in std_logic;
            z: out std_logic);
end xnor2;

architecture logica of xnor2 is
begin
       z <= x xnor y;
end logica;

Referências

  • Simpson, R. E. "The Exclusive NOR (XNOR) Gate." §12.5.7 in Introductory Electronics for Scientists and Engineers, 2nd ed. Boston, MA: Allyn and Bacon, pp. 539 and 554, 1987.
  • Vahid, Frank. SISTEMAS DIGITAIS: PROJETOS, OTIMIZAÇÃO E HDLS. ARTMED

Ver também editar

Ligações externas editar